PS2-keyboard

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2325KB
下载次数:16
上传日期:2012-06-30 20:50:50
上 传 者dengru
说明:  基于fpga的ps2键盘代码,用vhdl编写
(ps2 keyboard design based on fpga)

文件列表:
PS2键盘\PS2_KEYBOARD\key_top.bit (167053, 2010-05-08)
PS2键盘\PS2_KEYBOARD\key_top.ucf (537, 2010-05-11)
PS2键盘\PS2_KEYBOARD\key_top.ucf.bak (537, 2010-05-08)
PS2键盘\PS2_KEYBOARD\key_top.vhd (2754, 2010-05-11)
PS2键盘\PS2_KEYBOARD\key_top.vhd.bak (2752, 2010-05-11)
PS2键盘\PS2_KEYBOARD\键盘原理.doc (276480, 2010-05-11)
PS2键盘\REF\--基于FPGA的标准PS_2键盘接口电路设计[1].pdf (244657, 2010-05-08)
PS2键盘\REF\105924834.pdf (109881, 2010-05-08)
PS2键盘\REF\Message.pdf (174580, 2010-05-08)
PS2键盘\REF\关于键盘程序.pdf (174558, 2010-05-08)
PS2键盘\REF\基于FPGA的PS_2键盘接口的设计[1].pdf (179669, 2010-05-08)
PS2键盘\REF\基于FPGA的PS_2鼠标接口设计方法及其应用[1].pdf (705414, 2010-05-08)
PS2键盘\REF\基于FPGA的嵌入式系统PS_2接口的实现[1].pdf (1558810, 2010-05-08)
PS2键盘\PS2_KEYBOARD (0, 2012-06-15)
PS2键盘\REF (0, 2012-06-15)
PS2键盘 (0, 2012-06-30)

近期下载者

相关文件


收藏者