prbs

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:9KB
下载次数:104
上传日期:2012-07-11 15:34:32
上 传 者wxgoodforu
说明:  高速并行数据伪随机化模块,包括发送侧的随机化和接收侧的去随机化,以及测试模块
(High-speed parallel pseudo-random data modules, including randomized and receive side of sending side to randomization, and the test module)

文件列表:
prbs (0, 2012-07-11)
prbs\产生模块 (0, 2012-07-11)
prbs\产生模块\prbs18_gen_w20.v (2837, 2010-07-13)
prbs\产生模块\prbs31_gen_w32.v (2608, 2010-01-28)
prbs\产生模块\prbs31_gen_w40.v (3069, 2010-01-27)
prbs\接受校验模块 (0, 2012-07-11)
prbs\接受校验模块\prbs18_chk_w20.v (1673, 2010-07-13)
prbs\接受校验模块\prbs31_chk_w32.v (2353, 2010-01-28)
prbs\接受校验模块\prbs31_chk_w40.v (2791, 2010-01-28)
prbs\测试相关模块 (0, 2012-07-11)
prbs\测试相关模块\prbs31_32_byfile_tb.v (2624, 2010-01-28)
prbs\测试相关模块\prbs31_32_tb.v (1057, 2010-01-28)
prbs\测试相关模块\prbs31_40_byfile_tb.v (2624, 2010-01-28)
prbs\测试相关模块\prbs31_40_tb.v (1058, 2010-01-27)
prbs\测试相关模块\prbs31_file_gen.v (1632, 2010-01-28)

近期下载者

相关文件


收藏者