m_seq

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2KB
下载次数:5
上传日期:2012-07-11 15:42:09
上 传 者wxgoodforu
说明:  用VHDL代码编写的m序列发生器,包含发生器和测试用例模块
(M sequence generator written in VHDL code, including the generator and the test case module)

文件列表:
m_seq (0, 2012-07-11)
m_seq\m_sequence_gen.vhd (2977, 2011-03-28)
m_seq\m_sequence_gen_tb.vhd (4059, 2011-03-29)

近期下载者

相关文件


收藏者