ask

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:41KB
下载次数:5
上传日期:2012-08-01 09:50:37
上 传 者videowatermark
说明:  用VHDL编写的ASK调制与解调的程序及仿真,完全实现,详细!
(ASK modulation and demodulation process and VHDL, and simulation, fully realized, in detail!)

文件列表:
ASK调制与解调程序及仿真.doc (68608, 2010-03-28)

近期下载者

相关文件


收藏者