PS2

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:9KB
下载次数:3
上传日期:2012-08-13 15:45:21
上 传 者龙小龙
说明:  非常实用的PS2键盘的控制驱动程序 可以给初学者做个参考
(Very practical PS2 keyboard control driver for beginners to be a reference)

文件列表:
div_256.v (250, 2005-06-08)
lcd.v (4266, 2005-12-13)
ps2_keyboard.v (25662, 2005-06-06)
top.v (2472, 2006-04-21)
DIV16.v (243, 2005-04-14)

近期下载者

相关文件


收藏者