pwm_out

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:408KB
下载次数:13
上传日期:2012-08-16 15:41:13
上 传 者qsjqsj
说明:  用verilog hdl编写的高效PWM模块,可以通过键盘控制占空比和周期,并在数码管上得以显示
(Verilog hdl write efficient PWM module can be controlled through the keyboard duty cycle and cycle and can be displayed on the digital tube)

文件列表:
pwm_out\impact.xsl (1477, 2012-08-16)
pwm_out\impact_impact.xwbt (208, 2012-08-16)
pwm_out\iseconfig\pwm_out.projectmgr (4689, 2012-08-16)
pwm_out\iseconfig\pwm_out.xreport (20510, 2012-08-16)
pwm_out\pa.fromHdl.tcl (597, 2012-08-16)
pwm_out\planAhead_run_1\planAhead.jou (1600, 2012-08-16)
pwm_out\planAhead_run_1\planAhead.log (6731, 2012-08-16)
pwm_out\planAhead_run_1\planAhead_run.log (6659, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\constrs_1\designprops.xml (163, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\constrs_1\fileset.xml (604, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\constrs_1\usercols.xml (78, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\sources_1\chipscope.xml (113, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\sources_1\fileset.xml (625, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\sources_1\ports.xml (821, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.data\wt\webtalk_pa.xml (1442, 2012-08-16)
pwm_out\planAhead_run_1\pwm_out.ppr (239, 2012-08-16)
pwm_out\pwm_out.bgn (4853, 2012-08-16)
pwm_out\pwm_out.bit (283868, 2012-08-16)
pwm_out\pwm_out.bld (1014, 2012-08-16)
pwm_out\pwm_out.cmd_log (6370, 2012-08-16)
pwm_out\pwm_out.drc (186, 2012-08-16)
pwm_out\pwm_out.gise (12646, 2012-08-16)
pwm_out\pwm_out.ipf (21105, 2012-08-15)
pwm_out\pwm_out.lso (6, 2012-08-16)
pwm_out\pwm_out.ncd (87398, 2012-08-16)
pwm_out\pwm_out.ngc (91802, 2012-08-16)
pwm_out\pwm_out.ngd (142970, 2012-08-16)
pwm_out\pwm_out.ngr (108988, 2012-08-16)
pwm_out\pwm_out.pad (9912, 2012-08-16)
pwm_out\pwm_out.par (7914, 2012-08-16)
pwm_out\pwm_out.pcf (1306, 2012-08-16)
pwm_out\pwm_out.prj (26, 2012-08-16)
pwm_out\pwm_out.ptwx (17228, 2012-08-16)
pwm_out\pwm_out.stx (0, 2012-08-16)
pwm_out\pwm_out.syr (27081, 2012-08-16)
pwm_out\pwm_out.twr (4356, 2012-08-16)
pwm_out\pwm_out.twx (23495, 2012-08-16)
pwm_out\pwm_out.ucf (619, 2012-08-16)
pwm_out\pwm_out.unroutes (155, 2012-08-16)
pwm_out\pwm_out.ut (390, 2012-08-16)
... ...

近期下载者

相关文件


收藏者