oscoope_sourcs

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:41KB
下载次数:10
上传日期:2012-09-09 22:00:54
上 传 者tuitions
说明:  示波器源码,使用Visual C++实现现,简单易懂好用,仅实现正弦波
(Oscilloscope source code using Visual C++ to achieve now straightforward easy to use, only to realize sine wave)

文件列表:
oscoope_sourcs\示波器代码\res\Title.bmp (3978, 2004-09-08)
oscoope_sourcs\示波器代码\res\Track.bmp (726, 2004-09-08)
oscoope_sourcs\示波器代码\res\示波器演示.ico (1078, 2004-09-08)
oscoope_sourcs\示波器代码\res\示波器演示.rc2 (402, 2004-09-08)
oscoope_sourcs\示波器代码\resource.h (870, 2004-09-08)
oscoope_sourcs\示波器代码\Scope.cpp (19475, 2006-09-20)
oscoope_sourcs\示波器代码\Scope.h (3019, 2004-08-27)
oscoope_sourcs\示波器代码\StdAfx.cpp (212, 2004-09-08)
oscoope_sourcs\示波器代码\StdAfx.h (1054, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示.aps (25780, 2006-09-20)
oscoope_sourcs\示波器代码\示波器演示.clw (1244, 2006-12-01)
oscoope_sourcs\示波器代码\示波器演示.cpp (2031, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示.dsp (4496, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示.dsw (545, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示.h (1298, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示.ncb (74752, 2006-12-01)
oscoope_sourcs\示波器代码\示波器演示.opt (48640, 2006-12-01)
oscoope_sourcs\示波器代码\示波器演示.plg (1717, 2006-09-20)
oscoope_sourcs\示波器代码\示波器演示.rc (5593, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示Dlg.cpp (5091, 2004-09-08)
oscoope_sourcs\示波器代码\示波器演示Dlg.h (1367, 2004-09-08)
oscoope_sourcs\示波器代码\类代码\Scope.cpp (19477, 2004-09-08)
oscoope_sourcs\示波器代码\类代码\Scope.h (3019, 2004-08-27)
oscoope_sourcs\示波器代码\Debug (0, 2007-01-13)
oscoope_sourcs\示波器代码\res (0, 2007-01-13)
oscoope_sourcs\示波器代码\类代码 (0, 2007-01-13)
oscoope_sourcs\示波器代码 (0, 2007-01-13)
oscoope_sourcs (0, 2012-03-18)

近期下载者

相关文件


收藏者