Taddd_32_bbcdh

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:1KB
下载次数:4
上传日期:2012-09-15 14:08:45
上 传 者allocate
说明:  此程序源码使用VHDL语言,完成在32位十六进制加法器的基础上将输出出进行BCD码转换,实现输出是BCD码的32位二进制加法 可直接使用。
(This program source code using VHDL language, completed on the basis of 32-bit hexadecimal adder output BCD code conversion, the output is a 32-bit binary adder BCD code can be used directly.)

文件列表:
Taddd_32_bbcdh\add_32_bcd\add_32_bcd.txt (3011, 2008-05-10)
Taddd_32_bbcdh\add_32_bcd (0, 2008-05-10)
Taddd_32_bbcdh (0, 2012-03-18)

近期下载者

相关文件


收藏者