8.9-ASK-of-VHDL
ask 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:41KB
下载次数:4
上传日期:2012-10-16 10:02:01
上 传 者loveluhaidong
说明:  ASK调制VHDL程序及仿真:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制
(ASK modulation and VHDL simulation: based on the VHDL hardware description language ASK amplitude modulation, the baseband signal)

文件列表:
8.9 ASK调制与解调VHDL程序及仿真.doc (68608, 2010-03-28)

近期下载者

相关文件


收藏者