Design-advanced-program-reference

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:748KB
下载次数:5
上传日期:2012-10-22 10:39:31
上 传 者shashazaixianguang
说明:  10个示例程序详解,8.15 基带码发生器程序设计与仿真8.17 采用等精度测频原理的频率计程序与仿真8.18 电子琴程序设计与仿真8.18 电子琴程序设计与仿真8.19 电梯控制器程序设计与仿真8.20 电子时钟VHDL程序与仿真8.21 自动售货机VHDL程序与仿真8.22 出租车计价器VHDL程序与仿真8.22 出租车计价器VHDL程序与仿真 2004.8修改8.24 步进电机定位控制系统VHDL程序与仿真
(10 Detailed sample program, the 8.15 baseband code generator program design and simulation 8.17 using precision frequency measurement principle frequency meter program and Simulation 8.18 Keyboard program design and simulation 8.18 Keyboard program design and simulation 8.19 Elevator controller programming and simulation 8.20 vending machines and VHDL simulation 8.22 Taximeter VHDL procedures and simulation 8.22 Taximeter VHDL procedures and simulation of electronic clock procedures and VHDL simulation 8.21 2004.8 modified 8.24 stepper motor positioning control system VHDL procedures and simulation)

文件列表:
设计进阶程序参考 (0, 2012-02-24)
设计进阶程序参考\8.15 基带码发生器程序设计与仿真.doc (79872, 2010-04-15)
设计进阶程序参考\8.17 采用等精度测频原理的频率计程序与仿真.doc (176128, 2010-04-28)
设计进阶程序参考\8.18 电子琴程序设计与仿真 2004.8修改.doc (109056, 2010-07-28)
设计进阶程序参考\8.18 电子琴程序设计与仿真.doc (108032, 2010-04-15)
设计进阶程序参考\8.19 电梯控制器程序设计与仿真.doc (258048, 2010-04-15)
设计进阶程序参考\8.20 电子时钟VHDL程序与仿真.doc (117760, 2004-04-12)
设计进阶程序参考\8.21 自动售货机VHDL程序与仿真.doc (204288, 2010-03-28)
设计进阶程序参考\8.22 出租车计价器VHDL程序与仿真 2004.8修改.doc (60928, 2010-07-28)
设计进阶程序参考\8.22 出租车计价器VHDL程序与仿真.doc (141312, 2010-04-15)
设计进阶程序参考\8.24 步进电机定位控制系统VHDL程序与仿真.doc (31232, 2004-04-13)

近期下载者

相关文件


收藏者