crc16-CCITT

所属分类:VHDL/FPGA/Verilog
开发工具:matlab
文件大小:1KB
下载次数:134
上传日期:2012-12-07 13:55:21
上 传 者lijie42765051
说明:  crc-16的编码,使用的多项式是G(x)=x^16+x^12+x^5+1
(generator polynomial of degree 16: G(X)=x^16+x^12+x^5+1)

文件列表:
crc16-CCITT\crc16.m (541, 2012-11-27)
crc16-CCITT\license.txt (1335, 2010-02-19)
crc16-CCITT (0, 2012-11-27)

近期下载者

相关文件


收藏者