CLOCK

所属分类:对话框与窗口
开发工具:Visual C++
文件大小:1833KB
下载次数:5
上传日期:2012-12-15 16:16:46
上 传 者JAY11
说明:  关于vc++6.0编程环境中,基于对话框的时钟显示功能。
(On vc++6.0 programming environment based on the clock of the dialog box display.)

文件列表:
CLOCK\CLOCK.APS (35632, 2012-08-21)
CLOCK\CLOCK.clw (1075, 2012-09-20)
CLOCK\CLOCK.cpp (2049, 2012-08-21)
CLOCK\CLOCK.dsp (4141, 2012-08-21)
CLOCK\CLOCK.dsw (518, 2012-08-21)
CLOCK\CLOCK.h (1313, 2012-08-21)
CLOCK\CLOCK.ncb (50176, 2012-09-20)
CLOCK\CLOCK.opt (48640, 2012-09-20)
CLOCK\CLOCK.plg (957, 2012-08-21)
CLOCK\CLOCK.rc (5275, 2012-08-21)
CLOCK\CLOCKDlg.cpp (7268, 2012-08-21)
CLOCK\CLOCKDlg.h (1849, 2012-08-21)
CLOCK\Debug\CLOCK.exe (110685, 2012-08-21)
CLOCK\Debug\CLOCK.ilk (231400, 2012-08-21)
CLOCK\Debug\CLOCK.obj (14625, 2012-08-21)
CLOCK\Debug\CLOCK.pch (5502316, 2012-08-21)
CLOCK\Debug\CLOCK.pdb (361472, 2012-08-21)
CLOCK\Debug\CLOCK.res (2484, 2012-08-21)
CLOCK\Debug\CLOCKDlg.obj (33988, 2012-08-21)
CLOCK\Debug\StdAfx.obj (105825, 2012-08-21)
CLOCK\Debug\vc60.idb (205824, 2012-09-20)
CLOCK\Debug\vc60.pdb (364544, 2012-08-21)
CLOCK\res\CLOCK.ico (1078, 2012-08-21)
CLOCK\res\CLOCK.rc2 (397, 2012-08-21)
CLOCK\resource.h (683, 2012-08-21)
CLOCK\StdAfx.cpp (207, 2012-08-21)
CLOCK\StdAfx.h (1054, 2012-08-21)
CLOCK\Debug (0, 2012-10-26)
CLOCK\res (0, 2012-10-26)
CLOCK (0, 2012-10-26)

近期下载者

相关文件


收藏者