fifo_01

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:1KB
下载次数:13
上传日期:2006-08-19 09:36:09
上 传 者望穿秋水
说明:  8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn
(eight other phase comparators, Comparing the same whether the median 8-- 8-bit Identity Comparator-- uses 1993 std VHDL-- download from www.pld.com.cn)

文件列表:
fifo存储器举例:(注3).txt (2258, 2003-05-05)

近期下载者

相关文件


收藏者