decode_for_m68008

所属分类:VHDL/FPGA/Verilog
开发工具:MultiPlatform
文件大小:1KB
下载次数:5
上传日期:2006-08-19 09:37:49
上 传 者望穿秋水
说明:  -- M68008 Address Decoder -- Address decoder for the m68008 -- asbar must be 0 to enable any output -- csbar(0) : X"00000" to X"01FFF" -- csbar(1) : X"40000" to X"43FFF" -- csbar(2) : X"08000" to X"0AFFF" -- csbar(3) : X"E0000" to X"E01FF" -- download from www.pld.com.cn & www.fpga.com.cn
(-- M68008 Address Decoder-- Address decod er for the m68008-- 0 asbar must be to enable any o utput-- csbar (0) : X "00000" to X "01FFF"-- csbar (1) : X "40000" to X "43FFF"-- csbar (2) : X "08000" to X "0AFFF"-- csbar (3) : X "E0000" to X "E01FF"-- download from www.pld. com.cn)

文件列表:
地址译码(for m68008).txt (1676, 2003-05-05)

近期下载者

相关文件


收藏者