ps2_keyboard

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:7KB
下载次数:3
上传日期:2013-01-05 11:10:20
上 传 者DStek
说明:  VErilog编写的PS2键盘读写源码 模块端口的列表: clk, reset, ps2_clk, ps2_data, rx_extended, rx_released, rx_shift_key_on, rx_scan_code, rx_ascii, rx_data_ready, // rx_read_o rx_read, // rx_read_ack_i tx_data, tx_write, tx_write_ack_o, tx_error_no_keyboard_ack
(PS2 keyboard source literacy module VErilog written list of ports: clk, reset, ps2_clk, ps2_data, rx_extended, rx_released, rx_shift_key_on, rx_scan_code, rx_ascii, rx_data_ready,// ​ ​ rx_read_o rx_read,// ​ ​ rx_read_ack_i tx_data, tx_write, tx_write_ack_o, tx_error_no_keyboard_ack)

文件列表:
ps2_keyboard.v (25662, 2008-11-03)

近期下载者

相关文件


收藏者