91
AM2901 

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:8KB
下载次数:6
上传日期:2013-01-14 11:08:59
上 传 者Simonz
说明:  4位MCU AM2901的完整VHDL程序,AM2901为主程序,其他为实体库
(Complete VHDL program for 4-bit MCU AM2901, AM2901 main program, other entities library)

文件列表:
basic\am2901_comps.vhd (1498, 2008-03-11)
basic\counters_pkg.vhd (299, 2008-03-10)
basic\mnemonics.vhd (1644, 2008-03-10)
basic\regs_pkg.vhd (1244, 2008-03-10)
basic\synch_pkg.vhd (372, 2008-03-10)
ureg.vhd (787, 2008-03-11)
src_op.vhd (597, 2008-03-16)
rsynch.vhd (1045, 2008-03-10)
rreg.vhd (1096, 2008-03-10)
reg.vhd (790, 2008-03-10)
rdff.vhd (970, 2008-03-10)
ram_regs.vhd (1702, 2008-03-16)
q_reg.vhd (1058, 2008-03-16)
out_mux.vhd (474, 2008-03-16)
ascount.vhd (917, 2008-03-10)
am2901.vhd (1572, 2008-03-16)
alu.vhd (1740, 2008-03-16)
basic (0, 2008-07-25)
www.dssz.com.txt (214, 2010-06-08)

近期下载者

相关文件


收藏者