key

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:28KB
下载次数:10
上传日期:2013-02-21 11:45:28
上 传 者shuaihanhungry
说明:  VHDL按键消抖程序,文中提到了两种方法。
(VHDL key the debounced program, mentioned two methods.)

文件列表:
按键消抖.docx (35491, 2011-07-21)

近期下载者

相关文件


收藏者