SDRAM

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:3
上传日期:2013-03-20 15:15:45
上 传 者xiaozhiji
说明:  用XilinxSC1500控制SDRAM的一段VHDL代码。控制SDRAM每个时钟内输出地址所在的一个数据。
(For some VHDL code with XilinxSC1500 Control SDRAM. Control SDRAM Each clock output address where a data.)

文件列表:
SDRAM.vhd (22799, 2005-10-15)

近期下载者

相关文件


收藏者