paomadeng

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:7KB
下载次数:2
上传日期:2013-04-03 21:32:15
上 传 者xiaoqin013
说明:  跑马灯,可以用于制作跑马灯,用于vhdl语言
(Marquee, can be used to produce Marquee for vhdl language)

文件列表:
跑马灯\花样灯 (1160, 2011-12-12)
跑马灯\花样灯.c (411, 2011-12-12)
跑马灯\花样灯.hex (176, 2011-12-12)
跑马灯\花样灯.lnp (44, 2011-12-12)
跑马灯\花样灯.LST (1575, 2011-12-12)
跑马灯\花样灯.M51 (2783, 2011-12-12)
跑马灯\花样灯.OBJ (1181, 2011-12-12)
跑马灯\花样灯.Opt (1233, 2011-12-12)
跑马灯\花样灯.plg (876, 2011-12-12)
跑马灯\花样灯.Uv2 (2093, 2011-12-12)
跑马灯\花样灯_Opt.Bak (1234, 2011-12-12)
跑马灯\花样灯_Uv2.Bak (1655, 2011-12-12)
跑马灯 (0, 2011-12-24)

近期下载者

相关文件


收藏者