anjianxiaodou

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:3
上传日期:2013-04-17 11:31:23
上 传 者mengqiuyu
说明:  基于verilog的按键消抖\\\\\\代码
(Based on the verilog key debounce \ \ \ \ \ \ code)

文件列表:
按键消抖.txt (1242, 2013-04-17)

近期下载者

相关文件


收藏者