Frequency_Div
run 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:24KB
下载次数:4
上传日期:2013-04-21 20:33:49
上 传 者Hena_1988_patel
说明:  it is vhdl code for "frequency divider" which was implemented and run in altera quarts- II

文件列表:
freq(1).cnf (10190, 2010-12-14)
freq(2).cnf (6311, 2010-12-14)
freq(3).cnf (22576, 2010-12-14)
freq(4).cnf (2461, 2010-12-14)
freq(5).cnf (1843, 2010-12-14)
freq.acf (15583, 2010-12-14)
freq.cnf (8022, 2010-12-14)
freq.fit (2448, 2010-12-14)
freq.hif (3568, 2010-12-14)
freq.mmf (238, 2010-12-14)
freq.ndb (5918, 2010-12-14)
freq.pin (3519, 2010-12-14)
freq.pof (1961, 2010-12-14)
freq.rpt (14517, 2010-12-14)
freq.scf (505, 2010-12-14)
freq.snf (6370, 2010-12-14)
FREQ.sym (166, 2010-12-14)
freq.vhd (573, 2010-12-14)
LIB.DLS (110, 2010-12-14)
U7024176.DLS (1402, 2010-12-14)
U7656274.DLS (979, 2010-12-14)
** (3937, 2010-12-14)

近期下载者

相关文件


收藏者