fib

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:6KB
下载次数:26
上传日期:2013-04-22 08:42:51
上 传 者andyxingthanks
说明:  一个基于VHDL编程的可用于FPGA实现的斐波那契数列计算器
( implemented a circuit in VHDL that calculates Fibonacci numbers)

文件列表:
vhdl\add.vhd (430, 2012-09-28)
vhdl\ctrl.vhd (2587, 2012-09-28)
vhdl\datapath.vhd (2962, 2012-09-28)
vhdl\fib.vhd (970, 2012-09-28)
vhdl\fib_h101.vhd (782, 2012-09-28)
vhdl\glue_logic.vhd (1245, 2012-09-28)
vhdl\le.vhd (453, 2012-09-28)
vhdl\mux_2_1.vhd (550, 2012-09-28)
vhdl\reg.vhd (558, 2012-09-28)
vhdl\tb.vhd (4544, 2010-09-28)
vhdl\user_pkg.vhd (390, 2010-09-27)
vhdl (0, 2012-10-01)

近期下载者

相关文件


收藏者