songer
乐曲 

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:331KB
下载次数:1
上传日期:2013-05-13 14:52:53
上 传 者qq876516196
说明:  通过VHDL编程仿真 实现硬件演奏梁祝乐曲
(The VHDL programming hardware performance Butterfly Lovers)

文件列表:
songer (0, 2013-04-19)
songer\DELED.sym (374, 2013-04-19)
songer\LIB.DLS (559, 2013-04-19)
songer\NOTETABS.sym (177, 2013-04-19)
songer\SELTIME.sym (320, 2013-04-19)
songer\SPEAKER.sym (205, 2013-04-19)
songer\TONETABA.sym (259, 2013-04-19)
songer\U0349276.DLS (3320, 2013-04-19)
songer\U0810230.DLS (2819, 2013-04-19)
songer\U0886780.DLS (1218, 2013-04-19)
songer\U0889507.DLS (12845, 2013-04-19)
songer\U1346865.DLS (1622, 2013-04-19)
songer\U1543599.DLS (15527, 2013-04-19)
songer\U2090196.DLS (10927, 2013-04-19)
songer\U4667682.DLS (999, 2013-04-19)
songer\U6997962.DLS (17151, 2013-04-19)
songer\U7679890.DLS (16997, 2013-04-19)
songer\U7840319.DLS (4948, 2013-04-19)
songer\U8868518.DLS (65148, 2013-04-19)
songer\U9038850.DLS (956, 2013-04-19)
songer\U9620667.DLS (1259, 2013-04-19)
songer\U9750055.DLS (3897, 2013-04-19)
songer\cmp_state.ini (2, 2013-04-19)
songer\db (0, 2013-04-19)
songer\db\songer.db_info (137, 2013-04-21)
songer\db\songer.eco.cdb (161, 2013-04-21)
songer\db\songer.sld_design_entry.sci (154, 2013-04-21)
songer\deled.vhd (1041, 2013-04-19)
songer\maxplusii_to_quartus_name_mapping.txt (1967, 2013-04-19)
songer\notetabs.vhd (3909, 2013-04-19)
songer\seltime.vhd (988, 2013-04-19)
songer\songer(1).cnf (474419, 2013-04-19)
songer\songer(10).cnf (18216, 2013-04-19)
songer\songer(11).cnf (12614, 2013-04-19)
songer\songer(12).cnf (4123, 2013-04-19)
songer\songer(13).cnf (126738, 2013-04-19)
songer\songer(14).cnf (80305, 2013-04-19)
songer\songer(15).cnf (35860, 2013-04-19)
songer\songer(16).cnf (9199, 2013-04-19)
songer\songer(17).cnf (4664, 2013-04-19)
... ...

近期下载者

相关文件


收藏者