keypad

所属分类:单片机开发
开发工具:C/C++
文件大小:18KB
下载次数:26
上传日期:2013-06-03 23:36:46
上 传 者Joe祖
说明:  4*4键盘扫描proteus仿真电路和keil源程序。
(4*4 keyboard scan proteus simulation circuit and keil source program.)

文件列表:
keypad\keypad (3681, 2013-04-09)
keypad\keypad.c (1739, 2013-04-12)
keypad\keypad.lnp (29, 2013-04-09)
keypad\keypad.LST (3103, 2013-04-09)
keypad\keypad.M51 (4570, 2013-04-09)
keypad\keypad.OBJ (4081, 2013-04-09)
keypad\keypad.plg (974, 2013-04-09)
keypad\keypad.uvopt (55491, 2013-04-09)
keypad\keypad.uvproj (13023, 2013-04-09)
keypad\keypad_uvopt.bak (55198, 2013-04-09)
keypad\keypad_uvproj.bak (13226, 2013-04-09)
keypad (0, 2013-06-03)

近期下载者

相关文件


收藏者