CLOCK

所属分类:单片机开发
开发工具:Objective-C
文件大小:21KB
下载次数:5
上传日期:2013-06-16 17:57:01
上 传 者MR.武
说明:  MSP430 F6736时钟配置和UCS配置例程
(MSP430 F6736 clock configuration and UCS configuration routines)

文件列表:
CLOCK\CLOCK.dep (2147, 2012-12-06)
CLOCK\CLOCK.ewd (20125, 2012-12-06)
CLOCK\CLOCK.ewp (50037, 2012-12-06)
CLOCK\CLOCK.eww (159, 2012-12-06)
CLOCK\Debug\Exe\CLOCK.d43 (22485, 2012-12-06)
CLOCK\Debug\Obj\CLOCK.pbd (149, 2012-12-06)
CLOCK\Debug\Obj\main.r43 (13029, 2012-12-06)
CLOCK\main.c (1966, 2012-12-06)
CLOCK\path.txt (0, 2012-12-06)
CLOCK\settings\CLOCK.cspy.bat (1381, 2012-12-06)
CLOCK\settings\CLOCK.dbgdt (5404, 2012-12-06)
CLOCK\settings\CLOCK.dni (1260, 2012-12-06)
CLOCK\settings\CLOCK.wsdt (3803, 2012-12-06)
CLOCK\Debug\Exe (0, 2013-01-04)
CLOCK\Debug\List (0, 2013-01-04)
CLOCK\Debug\Obj (0, 2013-01-04)
CLOCK\Debug (0, 2013-01-04)
CLOCK\settings (0, 2013-01-04)
CLOCK (0, 2013-01-04)
更多例程和开发板资料--点击.url (153, 2013-05-15)

近期下载者

相关文件


收藏者