sin

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:147KB
下载次数:3
上传日期:2013-07-22 22:58:57
上 传 者feixuecan
说明:  DDS信号源设计中关于正弦信号的波形发生器,采用VHDL编写完
(DDS signal source design on the sinusoidal signal waveform generator, using VHDL prepared END)

文件列表:
正弦函数 (0, 2013-05-05)
正弦函数\db (0, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.asm.qmsg (1837, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.fit.qmsg (4551, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.map.qmsg (10957, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.qmsg (41219, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.sim.qmsg (3173, 2013-05-05)
正弦函数\db\prev_cmp_sin_rom.tan.qmsg (23621, 2013-05-05)
正弦函数\db\sin_rom.(0).cnf.cdb (1102, 2013-05-05)
正弦函数\db\sin_rom.(0).cnf.hdb (589, 2013-05-05)
正弦函数\db\sin_rom.(1).cnf.cdb (1246, 2013-05-05)
正弦函数\db\sin_rom.(1).cnf.hdb (536, 2013-05-05)
正弦函数\db\sin_rom.(2).cnf.cdb (1383, 2013-05-05)
正弦函数\db\sin_rom.(2).cnf.hdb (521, 2013-05-05)
正弦函数\db\sin_rom.asm.qmsg (1837, 2013-05-05)
正弦函数\db\sin_rom.cbx.xml (89, 2013-05-05)
正弦函数\db\sin_rom.cmp.cdb (4095, 2013-05-05)
正弦函数\db\sin_rom.cmp.hdb (7671, 2013-05-05)
正弦函数\db\sin_rom.cmp.logdb (4, 2013-05-05)
正弦函数\db\sin_rom.cmp.rdb (11317, 2013-05-05)
正弦函数\db\sin_rom.cmp.tdb (4519, 2013-05-05)
正弦函数\db\sin_rom.cmp0.ddb (3321, 2013-05-05)
正弦函数\db\sin_rom.db_info (137, 2013-05-05)
正弦函数\db\sin_rom.dbp (0, 2013-05-05)
正弦函数\db\sin_rom.eco.cdb (161, 2013-05-05)
正弦函数\db\sin_rom.eds_overflow (4, 2013-05-05)
正弦函数\db\sin_rom.fit.qmsg (4551, 2013-05-05)
正弦函数\db\sin_rom.hier_info (6863, 2013-05-05)
正弦函数\db\sin_rom.hif (4035, 2013-05-05)
正弦函数\db\sin_rom.map.cdb (1568, 2013-05-05)
正弦函数\db\sin_rom.map.hdb (6839, 2013-05-05)
正弦函数\db\sin_rom.map.logdb (4, 2013-05-05)
正弦函数\db\sin_rom.map.qmsg (11021, 2013-05-05)
正弦函数\db\sin_rom.pre_map.cdb (1974, 2013-05-05)
正弦函数\db\sin_rom.pre_map.hdb (8329, 2013-05-05)
正弦函数\db\sin_rom.psp (0, 2013-05-05)
正弦函数\db\sin_rom.pss (0, 2013-05-05)
正弦函数\db\sin_rom.rtlv.hdb (8315, 2013-05-05)
正弦函数\db\sin_rom.rtlv_sg.cdb (2772, 2013-05-05)
正弦函数\db\sin_rom.rtlv_sg_swap.cdb (1043, 2013-05-05)
... ...

近期下载者

相关文件


收藏者