CIC_filter_implement

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:160KB
下载次数:4
上传日期:2013-08-15 18:40:07
上 传 者leitty
说明:  实现CIC抽取滤波器,在多速率通信中经常需要用到的CIC抽取滤波器
(CIC decimation filter implemented in the multi-rate communications often need to use the CIC decimation filter)

文件列表:
CIC滤波器 (0, 2008-05-17)
CIC滤波器\cic_module.cpp (1726, 2008-05-17)
CIC滤波器\cic_module.exe (639516, 2008-05-17)
CIC滤波器\cic_module.v (828, 2008-05-17)

近期下载者

相关文件


收藏者