testbench_learn

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:3KB
下载次数:15
上传日期:2013-08-16 11:02:13
上 传 者leitty
说明:  自己写的一个移位寄存器的实例,该例子主要用来讲述verilog中的testbench的写作,以及在testbench中怎样使用task,以使仿真更加的高效简洁
(Write your own instance of a shift register, which is mainly used to describe examples of verilog testbench writing, as well as how to use the testbench in the task, to make the simulation more simple and efficient)

文件列表:
shifter.v (2184, 2013-02-21)
shifter_test.v (1007, 2013-02-21)
shifter_verification.v (5826, 2013-03-09)

近期下载者

相关文件


收藏者