rs232_send

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:1KB
下载次数:31
上传日期:2006-12-16 15:39:22
上 传 者szxhli
说明:  rs232 vhdl程序 可以实行异步串行通信,这里只有send
(rs232 vhdl procedures implemented asynchronous serial communication, here only send)

文件列表:
rs232_send.vhd (1689, 2006-12-12)

近期下载者

相关文件


收藏者