timer
timer 

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:1KB
下载次数:2
上传日期:2013-09-25 23:45:55
上 传 者no_user
说明:  AHDL parametrized timer
( for Altera Quartus compiler only-AHDL parametrized timer- for Altera Quartus compiler only)

文件列表:
timer.tdf (1197, 2009-12-08)
sdf.txt (0, 2013-09-24)

近期下载者

相关文件


收藏者