Barrel-shifter

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:7KB
下载次数:3
上传日期:2013-10-22 10:07:02
上 传 者少年游祈
说明:  barriel shifter is used to design the unconfined shift. It has optional code to decide the logical function.also, you can decide the bit your shifter.

文件列表:
Barrel shifter (0, 2013-10-21)
Barrel shifter\.DS_Store (6148, 2013-10-21)
__MACOSX (0, 2013-10-21)
__MACOSX\Barrel shifter (0, 2013-10-21)
__MACOSX\Barrel shifter\._.DS_Store (82, 2013-10-21)
Barrel shifter\Barrel.vhd (4483, 2013-10-14)
Barrel shifter\input.csv (451, 2013-10-03)
__MACOSX\Barrel shifter\._input.csv (174, 2013-10-03)
Barrel shifter\output.csv (287, 2013-10-03)
__MACOSX\Barrel shifter\._output.csv (174, 2013-10-03)
Barrel shifter\sim_mem_init.vhd (8606, 2013-10-06)
__MACOSX\Barrel shifter\._sim_mem_init.vhd (174, 2013-10-06)
Barrel shifter\test_Barrel.vhd (4434, 2013-10-08)

近期下载者

相关文件


收藏者