-led_seg7

所属分类:单片机开发
开发工具:VHDL
文件大小:2KB
下载次数:4
上传日期:2013-11-11 14:20:45
上 传 者崔晓辰
说明:  数码管显示代码。希望数码管显示什么数字,只需要给数码管段选口送去相应译码信号。
(Digital display code. What hope digital display digital, just give digital tube segment selector sent to the corresponding port decoded signal.)

文件列表:
说明.txt (726, 2011-12-23)

近期下载者

相关文件


收藏者