vga_block2

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:8979KB
下载次数:12
上传日期:2013-11-23 22:08:33
上 传 者12505MYPIG
说明:  一个xilinx工程,自己做的,主要是在VGA上显示一个动态方块,在屏幕上自由移动,碰壁反弹
(A xilinx project, do it yourself, is mainly a dynamic display box on the VGA, freedom of movement on the screen, snags a rebound)

文件列表:
block2\block2\block2.ise (219694, 2013-11-02)
block2\block2\block2.ntrc_log (885, 2013-09-26)
block2\block2\block2.restore (60924, 2013-11-02)
block2\block2\block2_xdb\cst.xbcd (2208, 2013-09-26)
block2\block2\block2_xdb\tmp\ise\version (138, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject (201, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject_StrTbl (24, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\__stored_object_table__ (60, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl (27, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl_StrTbl (3817, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main (78, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main_StrTbl (32, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\NameMap (29, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\NameMap_StrTbl (10, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects__ (82695, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects___StrTbl (30263, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_object_table__ (66724, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData (238, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData_StrTbl (392, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module (27, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module_StrTbl (19, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-vga_top (293, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-vga_top_StrTbl (10087, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default (297, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default_StrTbl (10638, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\Autonym\regkeys (0, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\bitgen\regkeys (46, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\common\regkeys (173, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\cpldfit\regkeys (47, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\Cs\regkeys (0, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\dumpngdio\regkeys (49, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\ExpandedNetlistEngine\regkeys (0, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\fuse\regkeys (44, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign\HDProject\regkeys (373, 2013-11-02)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign\regkeys (0, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\hprep6\regkeys (46, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\idem\regkeys (44, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\map\regkeys (43, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\netgen\regkeys (46, 2013-09-25)
block2\block2\block2_xdb\tmp\ise\__REGISTRY__\ngc2edif\regkeys (48, 2013-09-25)
... ...

近期下载者

相关文件


收藏者