Button

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:482KB
下载次数:3
上传日期:2013-11-27 14:08:55
上 传 者2832758
说明:  xilinx FPGA 按钮延时去抖动实验
(xilinx FPGA button to delay jitter test)

文件列表:
Button (0, 2013-11-06)
Button\Button (0, 2013-11-10)
Button\Button\auto_project.ipf (20784, 2013-07-05)
Button\Button\auto_project_1.ipf (20808, 2013-06-30)
Button\Button\auto_project_xdb (0, 2013-11-06)
Button\Button\auto_project_xdb\tmp (0, 2013-11-04)
Button\Button\button.bgn (4584, 2013-10-10)
Button\Button\button.bit (283867, 2013-10-10)
Button\Button\Button.bld (1264, 2013-10-10)
Button\Button\Button.cmd_log (3801, 2013-10-10)
Button\Button\button.drc (183, 2013-10-10)
Button\Button\Button.fdo (1244, 2013-06-30)
Button\Button\Button.gise (3573, 2013-11-04)
Button\Button\Button.lso (6, 2013-06-30)
Button\Button\Button.ncd (28114, 2013-10-10)
Button\Button\Button.ngc (34527, 2013-06-30)
Button\Button\Button.ngd (54492, 2013-10-10)
Button\Button\Button.ngr (12658, 2013-06-30)
Button\Button\Button.pad (9370, 2013-10-10)
Button\Button\Button.par (6162, 2013-10-10)
Button\Button\Button.pcf (1458, 2013-10-10)
Button\Button\Button.prj (32, 2013-06-30)
Button\Button\Button.ptwx (16857, 2013-10-10)
Button\Button\Button.stx (0, 2013-06-30)
Button\Button\Button.syr (16733, 2013-06-30)
Button\Button\Button.twr (31415, 2013-10-10)
Button\Button\Button.twx (52848, 2013-10-10)
Button\Button\Button.udo (379, 2013-06-30)
Button\Button\Button.unroutes (154, 2013-10-10)
Button\Button\Button.ut (392, 2013-10-10)
Button\Button\Button.xise (32175, 2013-11-04)
Button\Button\Button.xpi (46, 2013-10-10)
Button\Button\Button.xst (1137, 2013-06-30)
Button\Button\Button_bitgen.xwbt (440, 2013-10-10)
Button\Button\Button_envsettings.html (11133, 2013-11-04)
Button\Button\Button_guide.ncd (28114, 2013-10-10)
Button\Button\Button_map.map (2412, 2013-10-10)
Button\Button\Button_map.mrp (6435, 2013-10-10)
Button\Button\Button_map.ncd (18498, 2013-10-10)
Button\Button\Button_map.ngm (102248, 2013-10-10)
... ...

近期下载者

相关文件


收藏者