clkNdiv

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:20KB
下载次数:2
上传日期:2013-11-29 00:40:34
上 传 者2798937
说明:  很经典的时钟分频代码,直接拿来可以使用 使用VHDL语言编写!
(Very classic clock divider code can be directly used to use using VHDL language!)

文件列表:
时钟N分频\clk_divide.bsf (1729, 2011-05-30)
时钟N分频\clk_divide.vhd (1361, 2011-05-30)
时钟N分频\clk_n_divide.bmp (672054, 2013-04-03)
时钟N分频\clk_n_divide.bsf (2284, 2012-12-05)
时钟N分频\clk_n_divide.vhd (4288, 2012-12-05)
时钟N分频\clk_n_divide2.bmp (987190, 2013-04-03)
时钟N分频\Thumbs.db (6656, 2013-04-03)
时钟N分频 (0, 2013-07-06)

近期下载者

相关文件


收藏者