keyboard4_4

所属分类:VHDL/FPGA/Verilog
开发工具:Visual C++
文件大小:1KB
下载次数:53
上传日期:2007-01-15 20:32:55
上 传 者yuxyoo
说明:  该代码是4乘4标准键盘扫描程序的源代码,用VHDL编写的,我在调试的时候忘记设置复位键了,大家也要注意了
(The code is 4 x 4 standard keyboard scan a program's source code, prepared by the use of VHDL, I remember when debugging set the reset button, we have to pay attention to the)

文件列表:
keyboard4_4.vhd (3952, 2007-01-14)

近期下载者

相关文件


收藏者