SDRAMping-pong-memory-structure

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:19
上传日期:2013-12-17 23:16:36
上 传 者江湖中人已存在
说明:  双口RAM 的乒乓存储结构(芯片型号CY7C09279) 应用场合为FPGA向双口RAM不断写入数据,PCI总线从RAM读取数据。[已调试验证]
(Dual-port RAM, ping-pong memory structure (chip model CY7C09279) applications for the FPGA to the dual-port RAM write data continuously, PCI bus read data from RAM. [Debugging has verified])

文件列表:
双口RAM-乒乓结构\WRRAM.v (1630, 2013-09-16)
双口RAM-乒乓结构\说明.txt (363, 2013-12-17)
双口RAM-乒乓结构 (0, 2013-12-17)

近期下载者

相关文件


收藏者