altfp_matrix_mult

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2196KB
下载次数:231
上传日期:2013-12-18 15:08:36
上 传 者reallinyi
说明:  浮点数 矩阵乘法模块 verilog语言编写 可直接调用
(Floating-point matrix multiplication module can directly call verilog language)

文件列表:
altfp_matrix_mult\altfp_matrix_mult_DesignExample.zip (50499, 2009-02-06)
altfp_matrix_mult\altfp_matrix_mult_ex_msim.zip (2198058, 2009-02-06)
altfp_matrix_mult (0, 2009-03-24)

近期下载者

相关文件


收藏者