DDS

所属分类:嵌入式/单片机/硬件编程
开发工具:Fortran
文件大小:159KB
下载次数:6
上传日期:2013-12-20 23:39:19
上 传 者zhangxueliang789
说明:  第一,DDS模块是一个比较常用的用数字方式实现模拟信号的方法,以前一直只用了频率控制,这一次还通过深入理解用上了相位控制,从这个角度来讲,可以用FPGA小菜一碟的实现频率和相位可控的多通道SPWM波,然后再去外加上RC滤波电路和运放电路就可以实现可控正弦波。 第二,这里的DDS模块还有产生一个可逆计数器的计数使能时钟和方向控制时钟,需要具体说说的是,如果你输出的正弦值是8位的,那么你的计数器的计数范围是在0---255---0,如果你输出的正弦值是9位的,那么你的计数器的计数范围是在0---511---0。还有,每此计数变化后(一个“数字”三角波产生),DDS中只输出一个正弦值,进行比较。
(First, the DDS module is a more commonly used in digital way to realize analog signal, the method of used in frequency control, this time also by deep understanding of using phase control, from this perspective, you can use the FPGA implementation of a piece of cake of frequency and phase controlled multichannel SPWM wave, and then to plus the RC filter circuit and the op-amp circuit can realize controllable sine wave. Second, the DDS module and create a reversible counter count can make the clock and direction control clock, need specific about is that if you output sine value is 8 bits, so is the scope of your counter counts in 0-255-0, if your output sine value is nine, so is the scope of your counter counts in 0-511-0. Also, every change after the count (a "number" triangle wave), only in the DDS output a sine value, the comparison )

文件列表:
vsim.wlf (475136, 2011-10-23)
dds.v (852, 2012-05-18)

近期下载者

相关文件


收藏者