booth_multiply

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:17
上传日期:2013-12-24 23:17:36
上 传 者haizi
说明:   布斯乘法器,采用verilog语言实现 经过modelsim仿真
(Booth multiplier using verilog language through modelsim simulation)

文件列表:
booth—multiply.txt (823, 2013-03-01)

近期下载者

相关文件


收藏者