16QAM

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:5KB
下载次数:43
上传日期:2014-01-01 17:02:42
上 传 者assassin51
说明:  使用verilog编写的16QAM调制解调代码,可用于quartus和ISE,因为不包含FIR,只能用于仿真,不能用于实际通信
(Verilog prepared using 16QAM modulation and demodulation code can be used quartus and ISE, because they do not contain FIR, only for simulation and not for actual communication)

文件列表:
Verilog.doc (47616, 2013-12-18)

近期下载者

相关文件


收藏者