CAN-BUS-with-Verilog

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:77KB
下载次数:18
上传日期:2014-02-26 14:24:34
上 传 者uestcliu
说明:  CAN 总线的verilog是实现与设计 很好的资料
(implementation of can bus use verilog)

文件列表:
can_acf.v (18715, 2004-05-31)
can_bsp.v (63364, 2004-05-12)
can_btl.v (13955, 2004-05-12)
can_crc.v (4630, 2004-02-08)
can_defines.v (5611, 2004-05-12)
can_fifo.v (19230, 2004-02-08)
can_ibo.v (4056, 2004-02-08)
can_register.v (4465, 2004-02-08)
can_register_asyn.v (4642, 2004-02-08)
can_register_asyn_syn.v (4729, 2004-02-08)
can_register_syn.v (4578, 2004-02-08)
can_registers.v (36498, 2004-05-12)
CAN协议控制器的Verilog实现\can_acf.v (18715, 2004-05-31)
CAN协议控制器的Verilog实现\can_bsp.v (63364, 2004-05-12)
CAN协议控制器的Verilog实现\can_btl.v (13955, 2004-05-12)
CAN协议控制器的Verilog实现\can_crc.v (4630, 2004-02-08)
CAN协议控制器的Verilog实现\can_defines.v (5611, 2004-05-12)
CAN协议控制器的Verilog实现\can_fifo.v (19230, 2004-02-08)
CAN协议控制器的Verilog实现\can_ibo.v (4056, 2004-02-08)
CAN协议控制器的Verilog实现\can_register.v (4465, 2004-02-08)
CAN协议控制器的Verilog实现\can_register_asyn.v (4642, 2004-02-08)
CAN协议控制器的Verilog实现\can_register_asyn_syn.v (4729, 2004-02-08)
CAN协议控制器的Verilog实现\can_register_syn.v (4578, 2004-02-08)
CAN协议控制器的Verilog实现\can_registers.v (36498, 2004-05-12)
CAN协议控制器的Verilog实现 (0, 2009-09-03)

近期下载者

相关文件


收藏者