TLC7524-interface-circuit-program

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:4KB
下载次数:3
上传日期:2014-02-28 15:21:47
上 传 者fengpiaoling
说明:  TLC7524接口电路程序VHDL实现及仿真
(TLC7524 interface circuit VHDL implementation and simulation program)

文件列表:
TLC7524 interface circuit program.doc (23552, 2010-03-28)

近期下载者

相关文件


收藏者