pulse_sequence

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:1KB
下载次数:1
上传日期:2014-03-27 11:01:50
上 传 者VincentHIT
说明:  用VHDL语言实现了并行脉冲控制器的代码
(Using VHDL code parallel pulse controller)

文件列表:
pulse_sequence.vhd (4101, 2003-12-18)

近期下载者

相关文件


收藏者