STM32-pwm

所属分类:单片机开发
开发工具:C/C++
文件大小:4708KB
下载次数:13
上传日期:2014-04-16 22:17:38
上 传 者pnzrk
说明:  STM32_PWM利用PWM1来配置输出,可以驱动一个LED灯,实现呼吸灯效果。
(STM32_PWM use PWM1 to configure the output can drive an LED light to achieve breathing light effect.)

文件列表:
STM32奋斗板-pwm (0, 2014-03-26)
STM32奋斗板-pwm\CM3 (0, 2013-08-03)
STM32奋斗板-pwm\CM3\core_cm3.c (17273, 2010-04-23)
STM32奋斗板-pwm\CM3\core_cm3.h (85714, 2010-04-23)
STM32奋斗板-pwm\CM3\stm32f10x.h (621135, 2010-04-23)
STM32奋斗板-pwm\CM3\system_stm32f10x.c (31997, 2010-04-23)
STM32奋斗板-pwm\CM3\system_stm32f10x.h (2068, 2010-04-23)
STM32奋斗板-pwm\FWlib (0, 2013-08-03)
STM32奋斗板-pwm\FWlib\inc (0, 2013-08-03)
STM32奋斗板-pwm\FWlib\inc\misc.h (8893, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_adc.h (21601, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_bkp.h (7466, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_can.h (20503, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_cec.h (6483, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_conf.h (3170, 2012-12-11)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_crc.h (2073, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_dac.h (15062, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_dbgmcu.h (3729, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_dma.h (20573, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_exti.h (6735, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_flash.h (25356, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_fsmc.h (26187, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_gpio.h (19316, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_i2c.h (29501, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_iwdg.h (3739, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_pwr.h (4294, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_rcc.h (30246, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_rtc.h (3768, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_sdio.h (21774, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_spi.h (17983, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_tim.h (50427, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_usart.h (16459, 2010-04-23)
STM32奋斗板-pwm\FWlib\inc\stm32f10x_wwdg.h (2877, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC (0, 2013-08-03)
STM32奋斗板-pwm\FWlib\SRC\misc.c (6931, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC\stm32f10x_adc.c (47016, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC\stm32f10x_bkp.c (8497, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC\stm32f10x_can.c (31832, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC\stm32f10x_cec.c (11567, 2010-04-23)
STM32奋斗板-pwm\FWlib\SRC\stm32f10x_crc.c (3338, 2010-04-23)
... ...

显示一副16位色的图片及文字。 STM32 FSMC学习笔记 FSMC全称"静态存储器控制器"。 使用FSMC控制器后,可以把FSMC提供的FSMC_A[25:0]作为地址线,而把FSMC提供的FSMC_D[15:0]作为数据总线。 (1)当存储数据设为8位时,(FSMC_NANDInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_8b) 地址各位对应FSMC_A[25:0],数据位对应FSMC_D[7:0] (2)当存储数据设为16位时,(FSMC_NANDInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_16b) 地址各位对应FSMC_A[24:0],数据位对应FSMC_D[15:0] FSMC 包括4个模块: (1)AHB接口(包括FSMC配置寄存器) (2)NOR闪存和PSRAM控制器(驱动LCD的时候LCD就好像一个PSRAM的里面只有2个16位的存储空间,一个是DATA RAM 一个是CMD RAM) (3)NAND闪存和PC卡控制器 (4)外部设备接口 注:FSMC可以请求AHB进行数据宽度的操作。如果AHB操作的数据宽度大于外部设备(NOR或NAND或LCD)的宽度,此时FSMC将AHB操作分割成几个连续的较小的数据宽度,以适应外部设备的数据宽度。 FSMC对外部设备的地址映像从0x6000 0000开始,到0x9FFF FFFF结束,共分4个地址块,每个地址块256M字节。可以看出,每个地址块又分为4个分地址块,大小***M。对NOR的地址映像来说,我们可以通过选择HADDR[27:26]来确定当前使用的是哪个***M的分地址块,如下页表格。而这四个分存储块的片选,则使用NE[4:1]来选择。数据线/地址线/控制线是共享的。 NE1 ->Bank1 NE2->Bank2 NE3->Bank3 NE4->Bank4 若 NE1 连接, 则 每小块NOR/PSRAM ***M 第一块:6000 0000h--63ff ffffh (DATA长度为8位情况下,由地址线FSMC_A[25:0]决定;DATA长度为16位情况下,由地址线FSMC_A[24:0]决定) 第二块:***00 0000h--67ff ffffh 第二块:6800 0000h--6bff ffffh 第三块:6c00 0000h--6fff ffffh 注:这里的HADDR是需要转换到外部设备的内部AHB地址线,每个地址对应一个字节单元。因此,若外部设备的地址宽度是8位的,则HADDR[25:0]与STM32的CPU引脚FSMC_A[25:0]一一对应,最大可以访问***M字节的空间。若外部设备的地址宽度是16位的,则是HADDR[25:1]与STM32的CPU引脚FSMC_A[24:0]一一对应。在应用的时候,可以将FSMC_A总线连接到存储器或其他外设的地址总线引脚上。 例:STM32F10XX FCMS控制LCD的驱动 FSMC提供了所有的LCD控制器的信号: FSMC_D[16:0] ?? 16bit的数据总线 FSMC NEx:分配给NOR的256M,再分为4个区,每个区用来分配一个外设,这四个外设的片选分为是NE1-NE4,对应的引脚为:PD7-NE1,PG9-NE2,PG10-NE3,PG12-NE4 FSMC NOE:输出使能,连接LCD的RD脚。 FSMC NWE:写使能,连接LCD的RW脚。 FSMC Ax:用在LCD显示RAM和寄存器之间进行选择的地址线,即该线用于选择LCD的RS脚,该线可用地址线的任意一根线,范围:FSMC_A[25:0]。 注:RS = 0时,表示读写寄存器;RS = 1表示读写数据RAM。 举例1:选择NOR的第一个存储区,并且使用FSMC_A16来控制LCD的RS引脚,则我们访问LCD显示RAM的基址为0x6002 0000,访问LCD寄存器的地址为:0x6000 0000。因为数据长度为16bit ,所以FSMC_A[24:0]对应HADDR[25:1] 所以显示RAM的基址=0x60000000+2^16*2=0x60000000+0x2 0000=0x60020000 举例2:选择NOR的第四个存储区,使用FSMC_A0控制LCD的RS脚,则访问LCD显示RAM的基址为0x6c00 0002,访问LCD寄存器的地址为:0x6c00 0000。 例: FSMC_D[15:0],连16bit数据线;FSMC_NE1,连片选:只有bank1可用 FSMC NOE:输出使能 FSMC NEW:FSMC写使能 FSMC Ax:连接RS,可用范围FSMC_A[24:0] 一般使用模式B来做LCD的接口控制,不适用外扩模式。并且读写操作的时序一样。此种情况下,我们需要使用三个参数:ADDSET,DATAST,ADDHOLD。这三个参数在位域FSMC_TCRx中设置。 当HCLK的频率是72MHZ,使用模式B,则有如下时序: 地址建立时间:0x1 地址保持时间:0x0 数据建立时间:0x2 注:这里地址建立 地址保持 数据建立三个时间不知道怎么设出来的。。。。。我是根据别人的经验来设定的。高手知道这个设置不同有什么区别的话,请指教,谢谢:) /******************************************************************************* * 函数名: LCD_CtrlLinesConfig * 参 数: 无 * 返 回: 无 * 功 能: 配置LCD控制口线,FSMC管脚设置为复用功能 */ static void LCD_CtrlLinesConfig(void) { GPIO_InitTypeDef GPIO_InitStructure; /* 使能 FSMC, GPIOD, GPIOE, GPIOF, GPIOG 和 AFIO 时钟 */ RCC_AHBPeriphClockCmd(RCC_AHBPeriph_FSMC, ENABLE); RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB | RCC_APB2Periph_GPIOD | RCC_APB2Periph_GPIOE | RCC_APB2Periph_GPIOF | RCC_APB2Periph_GPIOG | RCC_APB2Periph_AFIO, ENABLE); /* 设置 PD.00(D2), PD.01(D3), PD.04(NOE), PD.05(NWE), PD.08(D13), PD.09(D14), PD.10(D15), PD.14(D0), PD.15(D1) 为复用推挽输出 */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_4 | GPIO_Pin_5 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | GPIO_Pin_14 | GPIO_Pin_15; // | GPIO_Pin_7; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP; GPIO_Init(GPIOD, &GPIO_InitStructure); /* 设置 PE.07(D4), PE.08(D5), PE.09(D6), PE.10(D7), PE.11(D8), PE.12(D9), PE.13(D10), PE.14(D11), PE.15(D12) 为复用推挽输出 */ /* PE3,PE4 用于A19, A20, STM32F103ZE-EK(REV 2.0)必须使能 */ /* PE5,PE6 用于A19, A20, STM32F103ZE-EK(REV 2.0)必须使能 */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14 | GPIO_Pin_15 | GPIO_Pin_3 | GPIO_Pin_4 | GPIO_Pin_5 | GPIO_Pin_6; GPIO_Init(GPIOE, &GPIO_InitStructure); /* 设置 PF.00(A0 (RS)) 为复用推挽输出 */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0; GPIO_Init(GPIOF, &GPIO_InitStructure); /* 设置 PG.12(NE4 (LCD/CS)) 为复用推挽输出 - CE3(LCD /CS) */ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12; GPIO_Init(GPIOG, &GPIO_InitStructure); GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(GPIOB, &GPIO_InitStructure); GPIO_SetBits(GPIOB, GPIO_Pin_1); } static void LCD_FSMCConfig(void) { FSMC_NORSRAMInitTypeDef FSMC_NORSRAMInitStructure; FSMC_NORSRAMTimingInitTypeDef FSMC_NORSRAMTimingInitStructure; /*-- FSMC Configuration ------------------------------------------------------*/ /*----------------------- SRAM Bank 4 ----------------------------------------*/ /* FSMC_Bank1_NORSRAM4 configuration */ FSMC_NORSRAMTimingInitStructure.FSMC_AddressSetupTime = 1; FSMC_NORSRAMTimingInitStructure.FSMC_AddressHoldTime = 0; FSMC_NORSRAMTimingInitStructure.FSMC_DataSetupTime = 2; FSMC_NORSRAMTimingInitStructure.FSMC_BusTurnAroundDuration = 0; FSMC_NORSRAMTimingInitStructure.FSMC_CLKDivision = 0; FSMC_NORSRAMTimingInitStructure.FSMC_DataLatency = 0; FSMC_NORSRAMTimingInitStructure.FSMC_AccessMode = FSMC_AccessMode_B; /* Color LCD configuration ------------------------------------ LCD configured as follow: - Data/Address MUX = Disable - Memory Type = SRAM - Data Width = 16bit - Write Operation = Enable - Extended Mode = Enable - Asynchronous Wait = Disable */ FSMC_NORSRAMInitStructure.FSMC_Bank = FSMC_Bank1_NORSRAM4; FSMC_NORSRAMInitStructure.FSMC_DataAddressMux = FSMC_DataAddressMux_Disable; FSMC_NORSRAMInitStructure.FSMC_MemoryType = FSMC_MemoryType_SRAM; FSMC_NORSRAMInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_16b; FSMC_NORSRAMInitStructure.FSMC_BurstAccessMode = FSMC_BurstAccessMode_Disable; FSMC_NORSRAMInitStructure.FSMC_WaitSignalPolarity = FSMC_WaitSignalPolarity_Low; FSMC_NORSRAMInitStructure.FSMC_WrapMode = FSMC_WrapMode_Disable; FSMC_NORSRAMInitStructure.FSMC_WaitSignalActive = FSMC_WaitSignalActive_BeforeWaitState; FSMC_NORSRAMInitStructure.FSMC_WriteOperation = FSMC_WriteOperation_Enable; FSMC_NORSRAMInitStructure.FSMC_WaitSignal = FSMC_WaitSignal_Disable; FSMC_NORSRAMInitStructure.FSMC_ExtendedMode = FSMC_ExtendedMode_Disable; FSMC_NORSRAMInitStructure.FSMC_WriteBurst = FSMC_WriteBurst_Disable; FSMC_NORSRAMInitStructure.FSMC_ReadWriteTimingStruct = &FSMC_NORSRAMTimingInitStructure; FSMC_NORSRAMInitStructure.FSMC_WriteTimingStruct = &FSMC_NORSRAMTimingInitStructure; FSMC_NORSRAMInit(&FSMC_NORSRAMInitStructure); /* - BANK 3 (of NOR/SRAM Bank 0~3) is enabled */ FSMC_NORSRAMCmd(FSMC_Bank1_NORSRAM4, ENABLE); } 小结:这里使用的地址映射属于BANK1 NOR/PSRAM1 所以DATA数据基址为0x6c000000 又因为LCD的RS连接在FSMC_A0上 所以CMD地址为0x6c000002。配置好FSMC后,要写DATA或CMD时只要对这两个地址操作就可以了 LCD有如下控制线: CS:Chip Select 片选,低电平有效 RS:Register Select 寄存器选择 WR:Write 写信号,低电平有效 RD:Read 读信号,低电平有效 RESET:重启信号,低电平有效 DB0-DB15:数据线 假如这些线,全部用普通IO口控制。根据LCD控制芯片手册(大部分控制芯片时序差不多): 如果情况如下: DB0-DB15的IO全部为1(表示数据0xff),也可以为其他任意值,这里以0xff为例。 CS为0(表示选上芯片,CS拉低时,芯片对传入的数据才会有效) RS为1(表示DB0-15上传递的是要被写到寄存器的值),如果为0,表示传递的是数据。 WR为0,RD为1(表示是写动作),反过来就是读动作。 RESET一直为高,如果RESET为低,会导致芯片重启。 这种情况,会导致一个值0xff被传入芯片,被LCD控制芯片当作写寄存器值去解析。LCD控制芯片收到DB0-15上的值之后,根据其他控制线的情况,它得出结论,这个0xff是用来设置寄存器的。一般情况下,LCD控制芯片会把传入的寄存器值的高8位当做寄存器地址(因为芯片内部肯定不止一个寄存器),低8位当做真正的要赋给对应寄存器值。这样,就完成了一个写LCD控制芯片内部寄存器的时序。 如果上述情况不变,只将RS置低,那么得到的情况如下:LCD控制芯片会把DB0-15上的数据当做单纯的数据值来处理。那么假如LCD处在画图状态,这个传入的值0xff,就会被显示到对应的点上,0xffff就表示白色,那么对应的点就是白色。在这个数据值传递过来之前,程序肯定会通过设置寄存器值,告诉LCD控制芯片要写的点的位置在哪里。 如果上述两种情况都不变,分别把WR和RD的信号反过来(WR=1,RD=0),那么写信号就会被变成读信号。读信号下,主控芯片需要去读DB0-15的值,而LCD控制芯片就会去设置DB0-15的值,从而完成读数据的时序。 读寄存器的时序麻烦一点。第一步,先要将WR和RD都置低,主控芯片通过DB0-15传入寄存器地址。第二步就和前面读数据一样,将WR置高,RD置低,读出DB0-15的值即可。在这整个的过程中,RS一直为低。 好了,上面就是IO直接控制LCD的方法。假如放到STM32里面,用IO直接控制显得效率很低。STM32有FSMC(其实其他芯片基本都有类似的总线功能),FSMC的好处就是你一旦设置好之后,WR、RD、DB0-DB15这些控制线和数据线,都是FSMC自动控制的。打个比方,当你在程序中写到: *(volatile unsigned short int *)(0x60000000)=val; 那么FSMC就会自动执行一个写的操作,其对应的主控芯片的WE、RD这些脚,就会呈现出写的时序出来(即WE=0,RD=1),数据val的值也会通过DB0-15自动呈现出来(即FSMC-D0:FSMC-D15=val)。地址0x60000000会被呈现在数据线上(即A0-A25=0,地址线的对应最麻烦,要根据具体情况来,好好看看FSMC手册)。 那么在硬件上面,我们需要做的,仅仅是MCU和LCD控制芯片的连接关系: WE-WR,均为低电平有效 RD-RD,均为低电平有效 FSMC-D0-15接LCD DB0-15 连接好之后,读写时序都会被FSMC自动完成。但是还有一个很关键的问题,就是RS没有接,CS没有接。因为在FSMC里面,根本就没有对应RS和CS的脚。怎么办呢?这个时候,有一个好方法,就是用某一根地址线来接RS。比如我们选择了A16这根地址线来接,那么当我们要写寄存器的时候,我们需要RS,也就是A16置高。软件中怎么做呢?也就是将FSMC要写的地址改成0x60020000,如下: *(volatile unsigned short int *)(0x60020000)=val; 这个时候,A16在执行其他FSMC的同时会被拉高,因为A0-A18要呈现出地址0x60020000。0x60020000里面的Bit17=1,就会导致A16为1。 当要读数据时,地址由0x60020000改为了0x60000000,这个时候A16就为0了。 那么有朋友就会有疑问,第一,为什么地址是0x6xxxxxxx而不是0x0xxxxxxx;第二,CS怎么接;第三,为什么Bit17对应A16? 先来看前两个问题,大家找到STM32的FSMC手册,在FSMC手册里面,我们很容易找到,FSMC将0x60000000-0x6fffffff的地址用作NOR/PRAM(共256M地址范围)。而这个存储块,又被分成了四部分,每部分***M地址范围。当对其中某个存储块进行读写时,对应的NEx就会置低。这里,就解决了我们两个问题,第一,LCD的操作时序,和NOR/PRAM是一样的(为什么一样自己找找NOR/PRAM的时序看看),所以我们选择0x6xxxxxxx这个地址范围(选择这个地址范围,操作这个地址时,FSMC就会呈现出NOR/PRAM的时序)。第二,我们可以将NEx连接到LCD的CS,只要我们操作的地址是第一个存储块内即可(即0-0x3ffffff地址范围)。 第三个问题再来看一看FSMC手册关于存储器字宽的描述,我们发现,当外部存储器是16位时,硬件管脚A0-A24表示的是地址线A1-A25的值,所以我们要位移一下,Bit17的值,实际会被反应到A16这根IO来。关于数据宽度及位移的问题,初学的朋友可能会比较疑惑,当你接触了多NOR/PRAM这样的器件后,你会发现,很多芯片的总线,都是这样设计的,为的是节省地址线。 那么上面就完全解决了LCD驱动如何接FSMC的问题,如果读者没懂,建议将上述文字抄上一遍,FSMC手册对应NOR/PRAM的章节抄一遍。还没懂,就继续抄一遍,抄到懂为止。 虽然上述只是针对LCD讲解了FSMC,但是其实对NOR和外部RAM的操作也是类似的,只不过多了些地址线来寻址而已。 /*c语言*(volatile unsigned char xdata *) 0x2f5f,这个表示方法是什么意思? 将一个立即数,强制转换一个指针,再以这个指针取出这个地址开始一个字节的内容。 具体0x2f5f,是什么,那就要看芯片的数据手册了。 比如说,如果A寄存器的地址是0x2f5f,那么,定义了 #define A *(volatile unsigned char xdata *) 0x2f5f 那么就可能对寄存器A赋值,只要 A = 0xff;就可以了。 去看看芯片的数据手册,看下0x2f5f是什么地址空间,才能知道他到底是什么。*/ STM32 ----小谈FSMC RS选择发布时间:2011-09-12 09:52:36 STM32 FMSC LCD难点解析: 以下是网上和自己整理的:感觉应该可以把STM32 ----FSMC LCD中的关键RS说清楚~ ---------------------------------------------------------------------------------------------------------------------- 第一个角度理解STM32有FSMC(其实其他芯片基本都有类似的总线功能),FSMC的好处就是你一旦设置好之后,WR(写)、RD(读)、DB0-DB15这些控制线和数据线, 都是FSMC自动控制的。打个比方,当你在程序中写到: *(volatile unsigned short int *)(0x60000000)=val; 那么FSMC就会自动执行一个写的操作,其对应的主控芯片的WE、RD这些脚,就会呈现出写的时序出来(即WE=0,RD=1),数据val的值也 会通过DB0-15自动呈现出来(即FSMC-D0:FSMC-D15=val )。地址0x60000000会被呈现在数据线上(即A0-A25=0,地址线的对应最麻烦,要根据具体情况来,好好看看FSMC手册)。 那么在硬件上面,我们需要做的,仅仅是MCU和LCD控制芯片的连接关系: WE-WR,均为低电平有效 RD-RD,均为低电平有效 FSMC-D0-15接LCD DB0-15 FSMC_NE1--CS接PD7 连接好之后,读写时序都会被FSMC自动完成。但是还有一个很关键的问题,就是RS没有接因为在FSMC里面,根本就没有对应RS。怎么办呢?这个时候,有一个好方法,就是用某一根地址线来接RS。比如我们选择了A16这根地址线来接,那么当我们要写寄 存器的时候,我们需要RS,也就是A16(RS为高)置高。软件中怎么做呢?也就是将FSMC要写的地址改成0x60020000,如下: *(volatile unsigned short int *)(0x60020000)=val; 这个时候,A16在执行其他FSMC的同时会被拉高,因为A0-A18要呈现出地址0x60020000。0x60020000里面的Bit17=1,就会导致A16为1。 当要读数据时,地址由0x60020000改为了0x60000000,这个时候A16就为0了。 那么有朋友就会有疑问,第一,为什么地址是0x6xxxxxxx而不是0x0xxxxxxx;第二,CS怎么接;第三,为什么Bit17对应A16? RS问题:RS为0表示;读写寄存器;RS为1,读写数据RAM; 先来看前两个问题,大家找到STM32的FSMC手册,在FSMC手册里面,我们很容易找到,FSMC将0x60000000-0x6fffffff的地址用作NOR/PRAM( 共256M地址范围)。而这个存储块,又被分成了四部分,每部分***M地址范围。当对其中某个存储块进行读写时,对应的NEx就会置低。这里, 就解决了我们两个问题,第一,LCD的操作时序,和NOR/PRAM是一样的(为什么一样自己找找NOR/PRAM的时序看看),所以我们选择0x6xxxxxxx 这个地址范围(选择这个地址范围,操作这个地址时,FSMC就会呈现出NOR/PRAM的时序)。第二,我们可以将NEx连接到LCD的CS,只要我们操作 的地址是第一个存储块内即可(即0-0x3ffffff地址范围)。 第三个问题再来看一看FSMC手册关于存储器字宽的描述,我们发现,当外部存储器是16位时,硬件管脚A0-A24表示的是地址线A1-A25的值,所以 我们要位移一下,Bit17的值,实际会被反应到A16这根IO来。关于数据宽度及位移的问题,初学的朋友可能会比较疑惑,当你接触了多NOR/PRAM 这样的器件后,你会发现,很多芯片的总线,都是这样设计的,为的是节省地址线。 第二个角度理解: FSMC总线上看,LCD只有2个地址. Bank1_LCD_C是写寄存器,此时RS=1,告诉LCD我在总线上输出数据的是寄存器的地址 Bank1_LCD_D是写数据,此时RS=0,告诉LCD我在总线上输出地数据是寄存器的数据或者GRAM的数据. 写寄存器数据按2步来: 第一步先往Bank1_LCD_C (对应RS=1),送寄存器的地址:*(__IO uint16_t *) (Bank1_LCD_C)= index; 接着在Bank1_LCD_D这个地址(对应RS=0),写入刚指向的寄存器的数据: *(__IO uint16_t *) (Bank1_LCD_D)= val; 为什么*(__IO uint16_t *) (Bank1_LCD_C)= index; 就是往 LCD 写寄存器呢? 这是一个16位的IO赋值操作,地址是Bank1_LCD_C,这个地址就是指向FSMC的 Bank1的NE1对应的地址空间。而LCD片选正是连接到NE1,具体地址要看RS接到哪一根地址线上。当CPU执行到这一条的时候,就会通过FSMC总线控制器在数据总线上进行一个地址为 Bank1_LCD_C的数据写操作,此操作自动完成CS信号, RD信号,WR信号,以及地址总线数据(RS信号)的输出以及数据总线数据的输出. 其他的操作都是这两个操作组合完成。也就是我上面所说的, "所有的寄存器地址和寄存器数据,以及 GRAM数据都是通过 IO0-IO15完成传输的,而不是FSMC的地址.这是容易搞混的一个地方.LCD的FSMC地址只有一根 ,就是RS." ----------------------------------------------------------------------------------------------------------------------第三个角度理解: 把TFT看做类似SRAM的存储器,只能接在 BANK1上。对应基地址是0x60000000. 而BANK1又有划分为四个片选,分别对应基地址: NE1 0x600000000 NE2 0x***0000000 NE3 0x680000000 NE4 0x6C0000000 所以每个NEx能寻址的空间大小为***M,也就是对应了FSMC的A0到A25 共26根地址线. 假如使用NE4接到为LCD的片选CS上,那么就对应基地址 0x6C000000, 如果RS接到地址线的 A0上,那么当 RS为0时对应的地址就是 LCD_REG = 0x6C000000,(其实你用0x6CFFFFF0是一样的,因为只用到一根地址线). RS为1时对应的地址就是 LCD_RAM =0x6C000001,(0x6CFFFFF1一样对应 LCD_RAM,因为它一样对应 RS=1). 如果 RS接到 其他地址线上,情况是类似的。 比如接到 An上,那么 LCD_REG= 0x6C000000, LCD_RAM= 0x6C000000 | (1<
NOR闪存/LCD 1:寄存器 2:RAM
FSMC核心控制器 (这里就只介绍使用BANK1的块1:这个块1的起始地址为0x6000 0000) ... ...
近期下载者

相关文件


收藏者