Median-Filtering-Alogrithm-on-FPGA

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:2390KB
下载次数:17
上传日期:2014-05-16 23:04:25
上 传 者Rokey_Niu
说明:  毕业设计 在该算法的FPGA实现过程中,充分利用FPGA硬件的并行性,并且采用流水线技术,提高了图像滤波的处理速度。FPGA硬件实现的结果表明,该算法与传统的快速滤波算法相比,不仅能够满足图像处理的实时性要求,而且还能在滤除图像椒盐噪声的同时,避免滤波后图像变得模糊的缺陷,达到了保护原始图像细节的目的。
(In the implemention of this algorithm on FPGA,we can make full use of the property of hardware parallelism and adopt the pipelining technology to abtain the purpose of improving image processing speed.The implementation results of this alorithm on FPGA hardware show that,this algorithm not only meets the requirements of real-time image processing,but also avoids the flaw of image burring in filtering the salt and pepper noise and achieves the purpose of preserving image details,compared with the traditional fast median filtering algorithm.)

文件列表:
基于FPGA的中值滤波算法的设计与实现.pdf (2707285, 2014-05-16)

近期下载者

相关文件


收藏者