UVM_TEST

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:8175KB
下载次数:125
上传日期:2014-05-23 22:26:52
上 传 者tangchaodefeng
说明:  UVM 的 入门实例,一个完整的能够跑通的实例。其中包括DUT代码,Testbench代码,还有搭建过程说明。很适合用来学习UVM入门。
(This paper describes an approach to using Accellera s UVM, the Universal Verification Methodology, for functional verification by mainstream users. The goal is to identify a minimal set of concepts sufficient for constrained random coverage-driven verification in order to ease the learning experience for engineers coming from a hardware design background who do not have extensive objectoriented programming skills. We describe coding guidelines to address the canonical structure of a UVM component and a UVM transaction, the construction of the UVM component hierarchy, the interface with the design-under-test, the use of UVM sequences, and the use of the factory and configuration mechanisms.)

文件列表:
Provided\fpmul.v (3460, 2014-05-01)
Provided\oprA (792, 2014-05-01)
Provided\oprB (792, 2014-05-01)
Provided\qverilog.log (45097, 2014-05-01)
Provided\Result (792, 2014-05-01)
Provided\uvm_sample.sv (2441, 2014-05-01)
Provided\vsim.wlf (49152, 2014-05-01)
Provided\work\@_opt\vopt1mmnvj (1719, 2014-05-01)
Provided\work\@_opt\vopt2e0ynh (612, 2014-05-01)
Provided\work\@_opt\vopt2tawrd (2288, 2014-05-01)
Provided\work\@_opt\vopt3ed1yr (2203904, 2014-05-01)
Provided\work\@_opt\vopt3ftyry (28012, 2014-05-01)
Provided\work\@_opt\vopt5w0rid (11512, 2014-05-01)
Provided\work\@_opt\vopt5wajyj (448, 2014-05-01)
Provided\work\@_opt\vopt7gkxqx (18437672, 2014-05-01)
Provided\work\@_opt\vopt8y0grj (24336, 2014-05-01)
Provided\work\@_opt\vopt9k9mth (736, 2014-05-01)
Provided\work\@_opt\vopta6itm5 (12699, 2014-05-01)
Provided\work\@_opt\voptavtt1d (66, 2014-05-01)
Provided\work\@_opt\voptc17d7e (90000, 2014-05-01)
Provided\work\@_opt\voptc5hfm0 (66, 2014-05-01)
Provided\work\@_opt\voptdbgq1d (812, 2014-05-01)
Provided\work\@_opt\voptdqxi9i (2864, 2014-05-01)
Provided\work\@_opt\voptev6qy5 (3903, 2014-05-01)
Provided\work\@_opt\voptgm6cm0 (858, 2014-05-01)
Provided\work\@_opt\voptgqefsj (72, 2014-05-01)
Provided\work\@_opt\voptk5w9m0 (412, 2014-05-01)
Provided\work\@_opt\voptk74bsj (5601, 2014-05-01)
Provided\work\@_opt\voptmbeek6 (11971, 2014-05-01)
Provided\work\@_opt\voptq2z80i (72, 2014-05-01)
Provided\work\@_opt\voptqgi5c0 (1484, 2014-05-01)
Provided\work\@_opt\voptr7t8ny (74, 2014-05-01)
Provided\work\@_opt\voptrd4ae6 (3796, 2014-05-01)
Provided\work\@_opt\voptvik50i (280, 2014-05-01)
Provided\work\@_opt\voptvr124d (453, 2014-05-01)
Provided\work\@_opt\voptw2s7q6 (2330, 2014-05-01)
Provided\work\@_opt\voptwqf5ny (2079731, 2014-05-01)
Provided\work\@_opt\voptyznz6d (1084, 2014-05-01)
Provided\work\@_opt\voptz2a10i (198, 2014-05-01)
Provided\work\@_opt\voptz751ny (688369, 2014-05-01)
... ...

近期下载者

相关文件


收藏者