QAM161

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:5KB
下载次数:27
上传日期:2014-06-22 18:24:20
上 传 者binbin0011
说明:  一个QAM16调制方式的verilog设计,包括4个verilog源代码,能够构成一个完整的QAM调制器
(A QAM16 modulationVerilog design , including four verilog source code, which can form a complete QAM modulator)

文件列表:
QAM16\QAM16_Demapping\Data_Buffer.v (3764, 2008-07-11)
QAM16\QAM16_Demapping\factor_buffer.v (4907, 2008-07-03)
QAM16\QAM16_Demapping\QAM16.v (3914, 2008-07-11)
QAM16\QAM16_Demapping\QAM16_Demapping.v (2318, 2008-07-11)
QAM16\QAM16_Demapping (0, 2014-06-22)
QAM16 (0, 2014-06-22)

近期下载者

相关文件


收藏者