dct2d

所属分类:VHDL/FPGA/Verilog
开发工具:VHDL
文件大小:110KB
下载次数:23
上传日期:2014-07-08 10:42:48
上 传 者felix0305
说明:  研究生课程 : 来源于Xilinx公司,二维DCT变换代码。
(Graduate courses: from Xilinx, 2D DCT function implementation verilog code.)

文件列表:
dct2d\dct-idct.v (537, 2002-01-29)
dct2d\dct.v (33611, 2013-06-09)
dct2d\dct2d.cr.mti (891, 2013-06-13)
dct2d\dct2d.mpf (80242, 2013-06-13)
dct2d\idct.v (31960, 2013-06-09)
dct2d\test_dct.v (4980, 2002-01-29)
dct2d\vsim.wlf (65536, 2013-06-13)
dct2d\work\dct\verilog.asm (101728, 2013-06-13)
dct2d\work\dct\verilog.rw (6147, 2013-06-13)
dct2d\work\dct\_primary.dat (17844, 2013-06-13)
dct2d\work\dct\_primary.dbs (18167, 2013-06-13)
dct2d\work\dct\_primary.vhd (336, 2013-06-13)
dct2d\work\dct_idct\verilog.asm (4088, 2013-06-13)
dct2d\work\dct_idct\verilog.rw (87, 2013-06-13)
dct2d\work\dct_idct\_primary.dat (548, 2013-06-13)
dct2d\work\dct_idct\_primary.dbs (704, 2013-06-13)
dct2d\work\dct_idct\_primary.vhd (302, 2013-06-13)
dct2d\work\idct\verilog.asm (129424, 2013-06-13)
dct2d\work\idct\verilog.rw (8071, 2013-06-13)
dct2d\work\idct\_primary.dat (21394, 2013-06-13)
dct2d\work\idct\_primary.dbs (21108, 2013-06-13)
dct2d\work\idct\_primary.vhd (338, 2013-06-13)
dct2d\work\test_dct_idct\verilog.asm (37888, 2013-06-13)
dct2d\work\test_dct_idct\verilog.rw (1380, 2013-06-13)
dct2d\work\test_dct_idct\_primary.dat (8574, 2013-06-13)
dct2d\work\test_dct_idct\_primary.dbs (7739, 2013-06-13)
dct2d\work\test_dct_idct\_primary.vhd (260, 2013-06-13)
dct2d\work\_info (1416, 2013-06-13)
dct2d\work\_vmake (26, 2013-06-13)
dct2d\work\dct (0, 2013-11-02)
dct2d\work\dct_idct (0, 2013-11-02)
dct2d\work\idct (0, 2013-11-02)
dct2d\work\test_dct_idct (0, 2013-11-02)
dct2d\work\_temp (0, 2013-06-13)
dct2d\work (0, 2013-11-02)
dct2d (0, 2013-11-02)

近期下载者

相关文件


收藏者