tuixiangzi

所属分类:VHDL/FPGA/Verilog
开发工具:Others
文件大小:6391KB
下载次数:69
上传日期:2014-07-18 15:18:25
上 传 者sksksksk
说明:  这是用VERILOG写的简单推箱子游戏,可以在spratan-3e上运行,vga上显示
(It is written in simple VERILOG Sokoban game can run on spratan-3e, vga display)

文件列表:
推箱子 (0, 2014-07-18)
推箱子\lab4 (0, 2014-03-11)
推箱子\lab4\.lso (6, 2012-09-16)
推箱子\lab4\device_usage_statistics.html (194598, 2012-10-16)
推箱子\lab4\lab4.ise (198811, 2012-10-17)
推箱子\lab4\lab4.ntrc_log (26176, 2012-10-16)
推箱子\lab4\lab4.restore (58834, 2012-10-17)
推箱子\lab4\lab4_ise10migration.zip (1751920, 2012-09-28)
推箱子\lab4\lab4_xdb (0, 2014-03-11)
推箱子\lab4\lab4_xdb\cst.xbcd (2069, 2012-10-16)
推箱子\lab4\lab4_xdb\tmp (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise.lock (98, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\version (138, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__ (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\Autonym (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\common (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ExpandedNetlistEngine (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject (201, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject_StrTbl (29, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\__stored_object_table__ (60, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl (27, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl_StrTbl (3817, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData (212, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData_StrTbl (380, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main (80, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main_StrTbl (42, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects__ (72590, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects___StrTbl (26963, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_object_table__ (59568, 2012-10-17)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\SrcCtrl (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\SrcCtrl\SavedOptions (0, 2014-03-11)
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\STE (0, 2014-03-11)
... ...

近期下载者

相关文件


收藏者